From a77e0df0de7eb24fa8999ac23c45ee4d0f445c1f Mon Sep 17 00:00:00 2001 From: Amin Bandali Date: Wed, 25 Mar 2020 02:18:00 -0400 Subject: [PATCH] some accumulated uncommitted changes --- Makefile | 2 +- bandali/pages.scm | 14 +- bandali/prefs.scm | 4 +- posts/bandali-computing.md | 57 ++++ static/bandali-pubkey.txt | 563 +++++++++++++++++++++++++------------ 5 files changed, 444 insertions(+), 196 deletions(-) create mode 100644 posts/bandali-computing.md diff --git a/Makefile b/Makefile index 3a96bd5..2dc6d78 100644 --- a/Makefile +++ b/Makefile @@ -4,7 +4,7 @@ OUT_DIR = $(BASE_DIR)/site/ RSYNC_PARAMS = --exclude-from='.rsync-exclude' --delete -avzP SSH_USER = amin@shemshak.org -SSH_DEST = /var/www/bndl.org/ +SSH_DEST = /var/www/bandali.eu.org/ all: build diff --git a/bandali/pages.scm b/bandali/pages.scm index dccfbd1..b553193 100644 --- a/bandali/pages.scm +++ b/bandali/pages.scm @@ -1,4 +1,4 @@ -;;; Copyright © 2019 Amin Bandali +;;; Copyright © 2019-2020 Amin Bandali ;;; ;;; This program is free software; you can redistribute it and/or ;;; modify it under the terms of the GNU General Public License as @@ -187,7 +187,7 @@ `((h1 "Contact information") (p "Email is by far my preferred method of communication. I may" " be contacted at any of the following addresses (choose the" - " most closely related):") + " one most closely related):") (ul (li "bandali@gnu.org") (li "bandali@uwaterloo.ca") @@ -221,12 +221,12 @@ " through accounts are those I don’t use anymore, unless" " absolutely necessary.") (ul + (li ,(aa "bandali" "https://savannah.gnu.org/users/bandali") + " on GNU Savannah") (li ,(aa "bandali" "https://libreplanet.org/wiki/User:Bandali") " on LibrePlanet") (li ,(aa "bandali" "https://emacsconf.org/bandali") " on EmacsConf") - (li ,(aa "bandali" "https://savannah.gnu.org/users/bandali") - " on Savannah") (li ,(aa "bandali" "https://git.sr.ht/~bandali") " on Sourcehut") (li ,(aa "bandali" "https://lobste.rs/u/bandali") @@ -240,11 +240,11 @@ " on HN") (li ,(aa "bandali" "https://www.reddit.com/u/bandali") " on reddit") - (li (del ,(aa "bandali0" "https://github.com/bandali0") + (li (del ,(aa "notbandali" "https://github.com/notbandali") " on GitHub")) - (li (del ,(aa "bandali0" "https://twitter.com/bandali0") + (li (del ,(aa "notbandali" "https://twitter.com/notbandali") " on Twitter")))) - "2019")) + "2020")) (define cv-page (static-page diff --git a/bandali/prefs.scm b/bandali/prefs.scm index 3997aeb..1896ea1 100644 --- a/bandali/prefs.scm +++ b/bandali/prefs.scm @@ -1,4 +1,4 @@ -;;; Copyright © 2019 Amin Bandali +;;; Copyright © 2019-2020 Amin Bandali ;;; ;;; This program is free software; you can redistribute it and/or ;;; modify it under the terms of the GNU General Public License as @@ -23,7 +23,7 @@ my-secondary-date-format)) (define my-scheme 'https) -(define my-domain "bndl.org") +(define my-domain "bandali.eu.org") (define my-url (string-append (symbol->string my-scheme) "://" my-domain)) (define my-tag-prefix "tags") diff --git a/posts/bandali-computing.md b/posts/bandali-computing.md new file mode 100644 index 0000000..fefaeb5 --- /dev/null +++ b/posts/bandali-computing.md @@ -0,0 +1,57 @@ +title: How I do my Computing +date: 2019-09-14 00:35 +slug: bandali-computing +tags: computing +updated: 2019-11-30 21:16 +copyright: 2019 +--- + +(Inspired by computing pages of [rms][stallman-computing] +and [Leah Rowe][leah-computing]) + +## Computers + +My main computer is a soon-to-be-[librebooted](https://libreboot.org) +ThinkPad X200. This is the machine I use the most in or out the +house. I also own and occasionally use a Dell XPS 15 more as a +“Desktop”, and a ThinkPad X220T tablet I use when reading (and +annotating) research papers. + +I also have the privilege of having access to a fleet of beefy servers +through our school’s [Computer Science Club][csc], which I use for +some heavier computations every now and again. + +## GNU/Linux distros + +I’ve used a large number of GNU/Linux distributions throughout the +years, but as of late, my favourite distros are [Guix System][guix], +[Trisquel][trisquel], and [Debian][debian] (with no `contrib` or +`non-free`). I mostly use the [GNU Linux-libre][linux-libre] kernel. +Guix System comes with GNU Linux-libre out of the box, and on +Debian-based distros I install it from jxself’s +[APT repository][jxself-apt]. + +## Actual computing + +I spend most of my time in [GNU Emacs][gnu-emacs]. + +TODO: elaborate + +## Cell phone + +I have an old Nexus 5 I reluctantly use from time to time. It runs +LineageOS+microG without GApps. Sadly it still requires some blobs +for functioning. I can’t wait for lxo’s [0G][0G] to become a reality +fast enough :) + + +[stallman-computing]: https://stallman.org/stallman-computing.html +[leah-computing]: https://blog.vimuser.org/leah-computing.html +[csc]: https://csclub.uwaterloo.ca +[guix]: https://guix.gnu.org +[trisquel]: https://trisquel.info +[debian]: https://www.debian.org +[linux-libre]: https://www.fsfla.org/ikiwiki/selibre/linux-libre/ +[jxself-apt]: https://jxself.org/linux-libre/ +[gnu-emacs]: https://www.gnu.org/software/emacs/ +[0G]: https://www.fsfla.org/ikiwiki/blogs/lxo/pub/0G diff --git a/static/bandali-pubkey.txt b/static/bandali-pubkey.txt index fd196db..551889f 100644 --- a/static/bandali-pubkey.txt +++ b/static/bandali-pubkey.txt @@ -11,190 +11,381 @@ xOajMR2KgxOfJ0JzNV8NFw7Sx9Hn61/y0nxoeMLhuCAW4n4i9/KFbms9iRDk4o6r d7eisHNbOxmgyHnDDUmCc+CLqSJNpQz6ROFL0OBHTZdOpwwiFNzhd7r8DqYLw8/C CY4yAHD8/h7YRpZ3HySNmanQM9Hmf212V5C2kHwNO2PHdzxx+wz0vW4B7K9KMz8E URBwbqXda+1k5mYxCnaRNiuUOHAL2RjDeop/cNPlUCvi7RPIwie9eK7JiQARAQAB -tCNBbWluIEJhbmRhbGkgPGJhbmRhbGlAdXdhdGVybG9vLmNhPokCVAQTAQgAPgIb -AQUJA8JnAAULCQgHAgYVCgkICwIEFgIDAQIeAQIXgBYhBL5ic3OOYW1tGzoI6KIa -AgJIgWEDBQJdgNrXAAoJEKIaAgJIgWED96cP/1Ji4ty/PX+e6FUlh7Crukuy9yoa -BzhLzPx637DpEleKfaF779EslGsT4nzIu9QYjwcgpUh+FC4JOmilRbbl4XnftDo0 -g7/B8AxA7uBCjDJDg4BDMEI/SD5Oa+mtmBBbMhIWnJHMUcUdP+KNXfsn+4q62IGK -pfWrtcmMEVSke+T5KgQzE40UN+u1pXI58TqvwTNY+OZkzcTBXD2vLysOoTwOwLvR -M44Zq4ZC6Mj7JdKLQpSs7gQ1eZF4yOKzuKayR0fkAkHYkbPKT3rxfh6nIAyZfznB -dBeQLUddrn7Jm7878ylYbj+dOYX/SZjX1MPeJPKnJZWkD+d4Rof6elLe0TOlc4zo -RNuL2mgs79vM2hEnt112PZk1p/fvhSxLW3JNUeIOR0W1228z7UOgBV642I/wOplI -0vBnkdxcSybhEpbTH+I8WONZy/b9Y+oNi0ixsGoOLa72PUjHsc6v5zV/IaTZnmNr -c7SZomm7CjDP2Vp88ioEmd9eTlQAl4/kkklrv2u2+Uao/f+pj72VvMOUE/EknmlY -o19++TLdWwx7fB5g4uW8Tk6J4RNIByuhjibflG+D1mAauO1y0/CoOEUnvLIZHWAS -bdPYYvuWKvPGdzQpnIgce0QPV/PRTSdoa1UFlZiBRKWd/iWLKSaU6OQCu5XD4EN/ -iib7Or18OHHYBg7ntCpBbWluIEJhbmRhbGkgPGJhbmRhbGlAY3NjbHViLnV3YXRl -cmxvby5jYT6JAlQEEwEIAD4CGwEFCQPCZwAFCwkIBwIGFQoJCAsCBBYCAwECHgEC -F4AWIQS+YnNzjmFtbRs6COiiGgICSIFhAwUCXYDaygAKCRCiGgICSIFhA+9oD/46 -ayRVW3ipvapY6jxYTuz19INgyJbXUEGjteLnd6+gnYbMvkqbRY2ua7zUGTSIoBz3 -Vu3oYO7ZFSq/0QHNE97qn+PcoSjV1optfroeWL1u/UmuhSavm8zbPs5ZhCnFv7AV -W5XUk9WPVHIoCUKRq2/g6pmsFRrd/lZrtzFED1y7CTtd3LgO+I3jQxT24uZJGyYG -ZboYi6wBA3TYWnf/ksGO9IWCk+0qqRpxXnT0uN5SSzlxlFcVcC3bJwpQjfhsDqcB -WUlITQ4ZtxUQNhK9ihRP15q6h4LojBvxP8ngmrwNCxxHDPeUTdjPq5G8GHaKX7cj -szY98qu8FjBDGNjunSn8PnX1fNKFaJ7OcOmYMPRHu40mjOZNj5urrb5p72NegN3b -dr70XHdFi6t0OGg869WCvLaOJ60aNgYe9udG+ppWCuKYJn0ViL7cPRhjzDFBz5Rw -o8he4dszNrd8Pzgsmyj4Oy7Y0zzfbIyGFKNMFNwgrDxXQJRLhSl68JJs8I+CXIGp -ZO8xu6R2jx+uC5jMVC685USGdcAR8cAe5wBVzFf/BSX3b5/u0n9Rn8TwDYw6vS9E -HVitO/7Qb8IyGirI/zlntaYAyjghFc4qwLU5F6w6eBi3fgQsidufVuokeEH93Gjk -7rN88eYx7AmL2TIAjygl58j6FUfS9ccuM9EpokaSNrQeQW1pbiBCYW5kYWxpIDxi -YW5kYWxpQGdudS5vcmc+iQJXBBMBCABBAhsBBQkDwmcABQsJCAcCBhUKCQgLAgQW -AgMBAh4BAheAFiEEvmJzc45hbW0bOgjoohoCAkiBYQMFAl2A2tcCGQEACgkQohoC -AkiBYQMHug//TOao+qUBbDCILqDF4SRMiOKtDcgKUPJ6JBK3g04ElCQcRdVsvp7f -Os3QVyZxUMlboOBuQJ6RNFJuYh0y25ppxcQyt5599yZY/j97af17TzrcR14uwI6B -YrIQEs/+X9Ova86C5TbX44tm0EDL8dhW0dNmaqZ/JGkafiao+waOw7REi6nddVQh -kyQsSC1/I3s+t4Fs2BXFc8nH7JWzjTEdY3oHmiwTWx1g6GQERWbjHABc394S9oUi -HXLBICsooCrCXBPPSXtZdmg1YdV7RayEkjvuYwxLCm8gUPCdN+STnPRc+pNJVKf+ -8+F0j4JwAOip258hJ2mle+v26Pw0y6pwGLUN1kPOTtg9XyQM7/oN0ioh/fUs8xk3 -h0xXrkpid6s3pTIiBoIBCbzk4PtmCTaHArGSqISdzfFIHVmXWb+rAm4HXOt0gP6x -BFlCgseBmWpZc4vAQBwz3T0J71028lrzecn+3hAdXpIJJ80B1Fz+Ht85w3EH2u+6 -9OI8VC6jnQkWc1MeS31JUzhf76whNvdFf9D0JRBb4AfHTd1YVij97t60cmUUx7+l -UhZVZmsDJlP79mmGv29Z9z4GtOC4RhyDK6mQhfHjPtP4LjxxW5J9D1Q4tXgMRczU -todp2njCwOWYvEYEhicpWyLjMP1ltQE5G1+tvNR2vyl9zW9nFNY1WrqJAjMEEAEI -AB0WIQTN3nX5A1OOcYE82ifR+6NmJ9ZYdgUCW8bKCgAKCRDR+6NmJ9ZYdtOSD/wM -fHm98sKjuTfUDEAb58rA6v32yWBvx0BfdsqN788P770pGZrQ7uWZdysaMRQfUOyJ -883Dy6KdcoYsHPy0Dl0OiUHm9Gled5E4K8Mll5A9n7I9ADDJUIiPcqSTXGmnRfj5 -VCkAKIvdtkLH8CKJK36IkuqLhBdlZbsJ+HS5qn9wGoCHkckaXGvvDVu5rnwf5evy -gIe0eGXPDIHBc/qun+xj0jYDp5MJKLXP6N6O09pZ774yLhjjaTRhrBQIf/28CTO+ -RqQajG5cTa3tFrO66+E9oKKAMt+r2Pcw7wQPc2XQ+1znwaWuYZ6wxXGa19ZbIrya -RxuQh/LW44TUX5NNgTl/5fWZ1jEJT4hwG2OqmVBB8/XZhbQGiQbWSHrCczs9z6bC -ECvEDvELo/7eRAzR61K2PDgt2k3TgwV9df/B1oXYTcJ7RDhksd19e612eeo/MK9e -gcTjJVvSvZMK9VtWCQanINX2R6l4eKhTj5ewsQKGh1l/Ipt+wseW4mEAlAbCi3pq -+TKWsGqKHKo6o2zd83ar47BDMzfQYP4IAVc4+wZhUY3WrJ9z9W69L/bUbS+WDEv9 -2ynFSKWKtwXvIQq16V37A7mRt65TBNcruS4XWrHBZAgjukp6ptf6L2EdeA+CPqvw -s4MeM5aCIVGX1ZRhYBVnxXxKtzbuEBLuj6ZA9iZ9g7QaQW1pbiBCYW5kYWxpIDxt -YWJAZ251Lm9yZz6JAlUEEwEIAD8CGwEFCQPCZwAGCwkIBwMCBhUIAgkKCwQWAgMB -Ah4BAheAFiEEvmJzc45hbW0bOgjoohoCAkiBYQMFAl0xU7IACgkQohoCAkiBYQMz -dg//fUmSL3ipOsxMlk4a18vw7RfKZZCdGNQ+cuAzMJb5Dx/j1qHveHG6+GooqcmJ -m+bAurXOVQlBQ3xmGjmJO9g83WawwAlND8DLQRhWArm4Hgpbriv5OO10OztG+Xpe -ar/BuWf6D4BCTFlxFE3StMTyZvsl3PWUDljkzqZNd0tk3eDKVJTZ0/PDDo5fm3X/ -ALB8EYjBILSZzOPNfpwNkc/Wt8A4diE44A8q2Ky4i4Y+BKKNU1osGMxkTG7eS9/G -PaL9vsmXNSkY8illQxgvFzCGZ+lRBwU0yCutrZIP0bEgHn+o6mpi5//qQRW5eChv -QSmijH8FzqRaWgmaXrmTJc3IRpCu13r72eP/IwmsWpCF9LAC6vFpbBmHW0V8OUqR -U8wYv4gdHCbBActGGAoZIRckkA5jGXsogKL6tMpb5+2v0kS3OhxpSxsjs9haa+CQ -xKAoPCqsvSXT6hMpy2rzsUtjGJinpuCBmzEPdYLIzcDKU2pQ7J30wJ9AJzn+WMRf -sh3NgzOqXxjBUR3Yit9XDVqMP5uVBblnOZBWcR+UdPyaQvQAUCSnY/vulZ/aun1H -SIg61KKZwAIy7PHC4BYPuQgF5kFqs7eT1Uude2MLxUDpe+/X3muDSjAHGy5SmKXE -+VTy6YnZ2EaImuXLB22/3wMLmaW5r7qEHpr7IFsB7TB6+R60IEFtaW4gQmFuZGFs -aSA8YW1pbkBzaGVtc2hhay5vcmc+iQJVBBMBCAA/AhsBBQkDwmcABgsJCAcDAgYV -CAIJCgsEFgIDAQIeAQIXgBYhBL5ic3OOYW1tGzoI6KIaAgJIgWEDBQJdGXvDAAoJ -EKIaAgJIgWED0LMQAIjKnX14XhphkbileBPxx0UTjRYVNTqIQWXqX6brtgrmwlii -W9ytVjFsxJY+xkWeoiIujAkybeRNdIPhF9d10mVxt5+ZL6qw6mBZxTW7zMhRpluW -QwCvPHbRolXdJfvgTj4PMtJmAU6Y39dGt40T7UioMc7tkExtl3gE8DdWz3jYJSdp -2A9d/ho3kz0K29q6QT+ux4+FppVkG7M2gypb3P9bPG8huMr0FP15A3rN+gI1LCaC -OuVcFxF1UxSFMx5HNwDJe3kdppFI/i9N30OGhxZAh1md16BDW7aod4zHtYPfpwPY -wfJKbn3G6xn1oBm7WCuAfPUfuP+AIKoY/lmSu3D0Rqi77Y/FjF6joqNeJdGMu7m8 -ERnTgqHXBODO4aZEb1w12LOnnite39IxqigKqomyISYG7dJ1kxU8JHAq+vt3V+U2 -ItRQEfrPSgwwZP+mHXIUwPdj4rYnwgbE6wqgqk/gpy2UmZPUoF4tqx3FTovjm2MB -Uoa8BQrr9pi/wg92NbrI33e4DbcDfovI8rXqRt9Qw+Kx1y8CRgTPK9mtVsE/Qmi3 -W+R4TRtdjWo6447/1Shw2PqhD2iei0QnSd27EzSk8YXEfJYBPTaZQgyvV8/9zx0b -lpgUlsTe4qwgolvc/7iZeLbUvj4gA+suEFWgrr5BZ7ft7tyZGSwwvpRXel6utCRB -bWluIEJhbmRhbGkgPGFiYW5kYWxpQHV3YXRlcmxvby5jYT6JAlUEEwEIAD8CGwEF -CQPCZwAGCwkIBwMCBhUIAgkKCwQWAgMBAh4BAheAFiEEvmJzc45hbW0bOgjoohoC -AkiBYQMFAl0Ze7gACgkQohoCAkiBYQOvsBAAgbuMd7gMgPmansIZnJ2/bAos/dLS -aFLpsYr5zK1Mi29DzKloD31MEHMOz/IEd7oFg7K/Wk5GuATI7t16HpD0kymUqhJl -L1kIo7sURnollHke18Vr9DFOiOF1NFhT7dMrYoIrxISXD+9XGhyixIj73yGCHs8o -mQ1PjHijyAWk4euQJH24rIGaqSHyKuaWhrgHn9OTeknj3H9/MrKaXcRZ+6LX/7B0 -s7dgXJDzM5MJZIVzNK5m5ZG7uQULPMtqsruin/IGzNeZGjyX4pptkmjloGB0kiah -vtweljEiH48aVB7ScWedncQOTB06ocBgn/pU3BJHPQu/0/+1EPg6NNm+SX+yIyNa -2aY5whoCwtxTmCs3DFsfOYG/V8MODVhy7JCIXpYrxcRi98rQz38FRIXcXLysXww+ -d8B2jBb1ieA50FTEPmSj4CHrgR/VDl1CrViSK+A7udbEzvPgnliraLtRD/V34MUH -WsA38hyvqLReZfX+asLywOcPbtltMno7wO1ocUO2zEEru+kZHAW2QakEWt50Pi51 -dtPlTZlYOzJNo6DrsUuiOmfdn2XB3/DRQxAl9gEMcukQldMuHoURWarPs0KSmdoT -j6JyGIIrtihfkrk6hM2Kqlf2ZIfOkTPl5TLrARJhRfDdeARWhFc8HviWNKebPgH+ -1uXjh+iLvZ5Dc2S0K0FtaW4gQmFuZGFsaSA8YWJhbmRhbGlAY3NjbHViLnV3YXRl -cmxvby5jYT6JAj4EEwECACgFAl0ZYWECGwEFCQPCZwAGCwkIBwMCBhUIAgkKCwQW -AgMBAh4BAheAAAoJEKIaAgJIgWED3ygP/iwulD9vBki+Nq/FgN1zCnTsRTrWLvYU -D5KTNu5ABtvLEnvG/KHrUNem8/pSSiDs9/vnK+58xvrTdFphV0FhJIHB5obK83Fb -ScQ9GG5uboL4YJ07F5k92xBuCAhKD2i93xg93Uoja61Wd0lXQhDADJSUgBJL0X1S -r19TR6YV9Ev4RT5D4CBWxrtmdQq4ppZEU8HIzPnoKXuwkcz6AWvzpG9NZD6li1iT -uT/ehTlzCoqrer6xcIzi4383OmIky8yuvsF9OfqTk5DpSI9TH+nEPJXENsUg6hy4 -mS84/2E1IU4MNzdI9VK3wUrCVG7V2Rd+BRarXdMOwxyjmACSAvHr4dJm937uQwlB -0PYuIgGi7bK2ur2nSgbcF/IsOA/NsXTtZdIe529NczndIZ/cjuewTR4tlsQrBbS7 -IpZW0VePOjDIgSF2GIMZZkG+zvYfF67/5QJEXm1x3LsarCU2MuZtipDNoCo6fnbx -97q1XjNMjcvRB+DBkBCAMKKwBA7pA1SYII3uw7q/iZvp7hV5S8LEG64aL0HGoW2O -u5t82YxAIWqvvvnAj5p99VpXdBr8Z2lCNmRxEb5umzlDtp7f0dAtF+baNjTIGaIz -JocVWQ8R7EwelkeIAIPT2GTvhePX1WwelYmVFL+CWFe8Y1i0tYvzvaibIhsW1qXm -GYpyLarGS9DYuQINBFvGxuoBEAClWpxAtadUKgCwxkVZGnjZ63dUTtPDs5TdLEcg -bwqchlG2Y7ii7vjdZdvW5zi9BCqUHKD8jNpnokdVutsu7OfPThthsjw/sIU5M7C3 -aQEekJ2h1QWt8Hij9fF/XRlaoZPpJ0S2yqr/fycsuUezQxHYeSG2xMbCFp2+AABT -WmAqrAMlHii1VhkOAZzM7gfDYkoOKChzHgAqBRkl0AsFph2k0QoOjwoL+7u/0SME -XH+WKmol9NgWmwUbyZGb7D9nNMStp4GuZDdSYaJ0zf2gsVWkw8hXtBLp+4G9iv+3 -aHMdY/R3pOPJbpER8tuso9f4mzl3+vuTpx0eniVPJzi47yfXrskGeaZdLmcDBSRY -v6PqypIuR6SDTSc/osWyvG+lByFzmb7Z8FiBEd8dlIj9rYU8SBfB6IadAZnCFohV -n0dLfrY+tYCp5M9BWL7MiNHh3lEgd1VEmtt7ZpJw/ed1ftxatdGNC4JLBKeDsHlv -rAbGRplHYRAZtBYAftoTnjylsCrrWy2DVX3zLj0oL1hLf+8z/QS0AyOv5Twk1Gik -3lmGnd4xsIZD8CHlT+i4tuqHniuMEkZHH7fQmeHtlabLfcenk/kwaYPS73e+c767 -SzgvB1TrfzhziNF/T0ualJ6GBs4Pd2sJdv4pu994GgimSlBJG9fHP3erKwCWYcBX -rSr8EwARAQABiQRyBBgBCAAmFiEEvmJzc45hbW0bOgjoohoCAkiBYQMFAlvGxuoC -GwIFCQPCZwACQAkQohoCAkiBYQPBdCAEGQEIAB0WIQQ5szyNlEgNLdzCpJiLRKDN -x7lW8gUCW8bG6gAKCRCLRKDNx7lW8lGXD/0QpobU+Jasq6AKaWIo/h7a+YWlz10T -zNc1nmNrh6qF87Fy+redSCi9cxylavkLwz5bVGsz//lU/NzVxBhazfQR+p+9Kx41 -TglPNLqq4sIpBpIBne7SpR4u+5MnusfapXT0KcOeuBQQqZ/lDlm15aeKCGa2PJtD -jEh+J9jNgQj2Gsawp3y92AQGHeZvAB05l0QNzPrQCm/bVLRD2uCsPc+vyhD/r7Y2 -ANBK1M/lnbPEzdhSQeLmPWIlMGzgxdSGe3JDaUtMUp/JipDcIAGqJfaD92xVVdPS -wVwC72+MU4otsdQbyKO6OVx4S+qCKCRkfyTY6F2Ag18DZNizyeuTEvfyfm/TEhnj -tNLCgXt1391l/ZdxxqIouP9Sq1Go8nG9ZvvXYve8RPMK4BBOu631MBZazqmu28fb -JE5I08Xu6gxfLHYlmQGnqjlY0Cy1X5zqubWU6Qc/1pJQmJcF1dJzB4iVYS/xnJkK -+cPXF1oXiU4StB+xqPZpmcqC4A1/cjVqc74ngq51u9JSEU13ZmzTvCviejOQAj4X -dmb0ObWWXBOQJ0/sl5dj5LDgDtvXs/7hIiJcAehxDI4H9qa0DRGcIs3L6E5y9BYG -MTP+38zDvO/wcaCzdNoUDgcC/Oar5sK8QBCo7SfRVGEayykjjz72iiyuSF9YNzb/ -T3Pef1tOfa3CviwnD/4sXhLeIQw89rdLY+/rDyfwe1T+HxFzf0z4zo3/UehcdSsu -IkA+KGRxXiEf8z3T0OP2XedTpPKuyRwy6LCGNBbbTcjRMtJ3mGnPHGd3IV87apjs -Dw2K1CikZaFSAXUTTVy9A+nqrsJK/+w0TAsrPWCn5fhvAtbJ/xShuZSHh/TrEnmX -Y4Lf1Q91iHbHtQw1YSaY4z/B0krqIDTIm3YAlbF6HN8BOOqln1SGbNNTkfD9UjrZ -qXtRA+tcwPKq3NjB7/51PkxVOofxV7cgAgkGL+5ZzAz6ZySaBLdpMYXnqpkg+X+5 -fqwMvM2M+TafQvOH1bIWwLny/fcPQQkMyJRpTzwH8kfJy4shyxv5Rw5E1qnZR7qY -tDSWv2k6YBfYP57nrdM6TbWY0J811vvgOxpPEZXG0J6F7ISzzfbu+kVhHxTqR9JO -BuoP6bkpPwRcAJ/2M/S82fySmKqxhikayOYG5lTGzPaJQr0KUb3NhmwJlpF+prl5 -JgxcgiN+ssiRTGWOFRFzMS5nQxwfrJ96HDaq7Hfruckwf4xvrMoOZoB9NEprqU6f -NlAQjEdQLz7ELSMip5hjaZoPzdZg5UET5Rx/wK9VEBeP9vK6STcSTqFk3u2Xyni/ -HmA8qVuJ2/rZpT2KuiB/HAmPXRiZGNGcuZTwPKEw4ZDYouU/DKevD6R/zMuel7kC -DQRbxsc/ARAA5Kk27H7tkzf0Nd+1Kp92FsKgmq2Yw73YkKBaBQHfrA1RCA/CtzSf -M2Z8f64AVybBqlbDZ4X7wgqLULCaQfv2RWDbGaBBXEjOhOF7iQ69lFfuilwmFFuK -DcIFnRgLAF8MgzYacafXv/au8OVIRGh6GRT6e15KDldf+F7SaQ1w0qEetztWGXp+ -cHUEdbPe2zKs+6sn5kGHN40s13AM0R7W+00mT6+s+x6jyUY4dmjDM0y8SDRAkHvz -y0U7pO5cjjES6RvnU6YkvvQN1atMza09QxDt9Ojc8vibUetMXk+Qik9ncti8/lFD -OLbZ/SdFh/VL6gx0THS5/CbVCvKB3Z0f+tGlwqVpTSwhV1MISn7Y62bsvnEIN1Nr -zvunmy+WRk6kPErPKBXbn1X8cKUfRuSuEdrK20anqvHJyOfkutGD/e6yAV4fDZ/g -RKq2zDHu2q5a3NGb9Bf0cvLrRLkoH/HU5qB0bOPUQ+wO/av85/TW0biV/3Lbf0Vp -6XbCvPL3M03F4QBeMJvVK4CV3NX4GuB1a3ZizDrYmJE9r90aQOrBbqLYCJKpvOny -HubNG8e+WPBKLX04l16p7/bZi3wD/OFcado9seMPSMadGl2pjZRSMNOQBymh7/tm -qfIwt4XB75+6BsWxIesBz7/hHEWG2OtgrqlKTyqM7xBsjgy4wYlhUqEAEQEAAYkC -PAQYAQgAJhYhBL5ic3OOYW1tGzoI6KIaAgJIgWEDBQJbxsc/AhsMBQkDwmcAAAoJ -EKIaAgJIgWEDPR0P/RFM7+RArVdofZ/pZuq0GjUNqfSdWEQsNGo+yZoqcmD77cAx -/BRFVsSe9OGC8ES6sMPNQ+lOfgilTANDp2Ct5jarPbyhVsZ6B6RA5CnlApqoGfpw -eUS3SqFlWo5wgiVi46Is5Tk7IEbtRn/rlQhA41XeRzaq+wONnNNM5h7a3eF/eRuz -VgjhqKgXY9BcOGQV+D3iX6HziklWEidtcKrBBhDyjK5wIUm9pbCANhGaNGJ1s8HB -TEKijggAu7VI428U8+U+J42b6dFpMdR5DkGSxZAAA1hsdS/vZ5SfH+/wL+eQqGCt -z8GAlrGV/Jw8thZHiCSBYX2lZHhBmS2Bs4L+vgWqGO6WWxfbS0WWbP79UkftysB9 -Lp9dYMctMdi57B9eG8PaWWdspLvIXU3dX6LvQqwX8OdZeJyVZauE3EJY8FUF13nj -k7efhmWr9wTNT0dTzAvQgMKyzm0dtp3tFPyZblwX4OZv82SpWL2osJcxx0sf+D02 -P5N1/fSQom7z9VVhjIYuBQfCGetEPOtfmDVk9Dn3tHH65IR4HPJUc3gC/XZJX1nv -PHxFDLCPt7H8TU02YQCwX4mGTix/jRVVm6KyKSO91Kw3rjEEcUFRd/CCdG4aB9zR -sKbdROyYrw1IVmkyKgIl844K3Z1qwPdiD4bF+a+FyGr6Y06RlIuoJB4uC/kDuQIN -BFvGyAQBEAC/ZJScAwexk/YMLzgEw0X3djRC9A1dmKA+8XQKh3nPV05N82grd1pT -6JmhFgMZjJJf++5YkyNcfdVFOp9m9r0a8tQ/bG8OZOdPKMo7OgxQ+SUXi8pRbuAv -v2aurYLJFrwFfHnrmX6OUEVfjPwgfsrONf82s0sE2HHVIGf+tYWoF4dSUXi/d3vP -l6yTKJH3LjFiEhbVsLRfHyZq+fa80Vs9TiWev5NCvifFRFw1Bezp101zr+LR9X5Y -deGCPxLhFoJZOJeq5KcDBhyFRtdw96CLBliYLTmGkjrjEGi6Y2FCofxK+RmLfCYi -w4PoiKxB8K7BiFS/rixXgx21SrZA8fe0iXvJo6VKFz9q4iaFEzml4GJalIqGbt1P -dxVE11Ryu/lvbwVjvgpPVhb9gdB51Ulr745UwaIPxkVABSC+dICcGkZtPX2C1AkR -MtyJPNNg5MCmNIwU5PlEhx3iGRDG3ZXLFbgXGJ16Mb4jlfOeidhOV7oyyVawsq3U -KzVXl81zUhnJDaOQhQ9xaWfI2EJAH2Cjt3UgpHWotnFfEwu1urE+YHSliJEYzlc5 -PHQNHkfMHzwVTEjxHWYSH4ScZwfd6QeLGMT0/tZcbsmn28Z1pXKB7n2+VB4FppaW -a5mSFMOn5mjjKigvR5SOq2fyA0nux/NmKdK7sxbovwRduBodbHIOjQARAQABiQI8 -BBgBCAAmFiEEvmJzc45hbW0bOgjoohoCAkiBYQMFAlvGyAQCGyAFCQPCZwAACgkQ -ohoCAkiBYQMHCxAAnWYq1PbfA23NRTaaW7htjj6+2anEXo3WFolFUnzrIq1tbY/X -OQZ6NmvnrjGBetYDgeDnkNLgqYfUIBdHgLAphFRolD1BW+aTs3zj/k9TZ6Z7QAce -pNYQXyWkcgh6fGFsoRg8mXhuWfuQUCPHc08hik4hZgIS2udxs7lGJcjO7gVBtpos -UiF07zJTT3E+OgOhSfZCPS44EW5LfJgK+V2OXfRsP4KLRYbaW7b20ZKJe3c03V25 -CtDiCT93u0iZhZNuyEzrjMONENL2WmEIvMavqAbsNfhZsqOY4sSmSYbIss4KWG4R -avzhHc7YiYNgZKeAOan8F1QJz58G5l019ZFUlovC5KsaRPBpc0gc2+dDlsEV7/QL -LQDNY3oC9CAElykari+uMAJFxFgaY46zl+PMl8m3qhLCzyNwR/sSLR3dlfn72vls -Wae3PQ4o0tyFkHgLG5DaJnZURrt/8Zc7tks0nzE8k+BXW+YvmyujK8c5GPXBbe/g -XS6nBkm3SMvPJv8MPRhA7BY91REokcr3s7V1Wnm4wKQBgXhyszjtJ+87pgZkuxsl -zfJTWXRDHv1CDegY7U1fOnAEuHpz1Ed1MqJhF6nkUH3DDEkR3fqVqVBFVTMs6x41 -tsjRdbi3PJzi6r/EFXCSS5TH5AGEZWsuuT/V0zuEqBteXAhngfEJsgv0AcY= -=t+i2 +tB5BbWluIEJhbmRhbGkgPGJhbmRhbGlAZ251Lm9yZz6JAlcEEwEIAEECGwEFCwkI +BwIGFQoJCAsCBBYCAwECHgECF4ACGQEWIQS+YnNzjmFtbRs6COiiGgICSIFhAwUC +XnKnmgUJB4VnyAAKCRCiGgICSIFhA/MlD/43wR6iwG6yuWUvwCGvsTHniVjBQK6x +ftUpbvlxlH92aTXD0VrpVYWygmCdkwuOWCEhKdX3wLHfmBhL4GvB/aAigOQsxvCm +We4Aa4CI088taE1fFpW576k2v/i2dZeQnlFpLoFoEiz4JND0FO66h3Ch1wGIYPBr +NIR/sComUpUZN1VQg7VfTYWrZRbX51XV6p64F/JWMooLPoVLxRUuoyJK+maSFu81 +vNxcK2ok4+Fsjx2gFvaE3Z0qIdjedFwRzhE1dAkH8Z8jnWQiCrKHL1/qdCPas6JZ +X7RWLfdYTjUYQIyhThNn+l/uC/2papedcBPfMJq2nq7YhttpCxrdRrOWYGtcc/2p +acIob6TeaHBI8ABmg4+ymYUSl3c2yCLAYk8i5ugVg11RjIc8UmJV6wdGyd0dZNsW +pVWkFYgLkqHeBEG5DAkZ9LE/MTOeB3n9Anv39HJyYB7tMunaap8BvHIiZrukoUvm +AzSKkbOevxG4O1u+akweIGSwUziWHlzSsc6mAaycazqDGfxMGmNxwNKiWPU1Newe +BuVishC03fVD8bzlv7jbo86Cd7xHRjbPXcOMSz38ugJ3ms8/GFgieR4P+JOq9ppx +DZz1Hh2mYR3rSBHaUQKV7wy3W9pIsfo2YZ4YaiHGv/SRbqXCEwZxEdF2a5WnSqM3 +iZpmEVE6/4EY6IkCMwQQAQgAHRYhBM3edfkDU45xgTzaJ9H7o2Yn1lh2BQJbxsoK +AAoJENH7o2Yn1lh205IP/Ax8eb3ywqO5N9QMQBvnysDq/fbJYG/HQF92yo3vzw/v +vSkZmtDu5Zl3KxoxFB9Q7InzzcPLop1yhiwc/LQOXQ6JQeb0aV53kTgrwyWXkD2f +sj0AMMlQiI9ypJNcaadF+PlUKQAoi922QsfwIokrfoiS6ouEF2Vluwn4dLmqf3Aa +gIeRyRpca+8NW7mufB/l6/KAh7R4Zc8MgcFz+q6f7GPSNgOnkwkotc/o3o7T2lnv +vjIuGONpNGGsFAh//bwJM75GpBqMblxNre0Ws7rr4T2gooAy36vY9zDvBA9zZdD7 +XOfBpa5hnrDFcZrX1lsivJpHG5CH8tbjhNRfk02BOX/l9ZnWMQlPiHAbY6qZUEHz +9dmFtAaJBtZIesJzOz3PpsIQK8QO8Quj/t5EDNHrUrY8OC3aTdODBX11/8HWhdhN +wntEOGSx3X17rXZ56j8wr16BxOMlW9K9kwr1W1YJBqcg1fZHqXh4qFOPl7CxAoaH +WX8im37Cx5biYQCUBsKLemr5MpawaoocqjqjbN3zdqvjsEMzN9Bg/ggBVzj7BmFR +jdasn3P1br0v9tRtL5YMS/3bKcVIpYq3Be8hCrXpXfsDuZG3rlME1yu5LhdascFk +CCO6Snqm1/ovYR14D4I+q/Czgx4zloIhUZfVlGFgFWfFfEq3Nu4QEu6PpkD2Jn2D +iQJUBBMBCAA+AhsBBQkDwmcABQsJCAcCBhUKCQgLAgQWAgMBAh4BAheAFiEEvmJz +c45hbW0bOgjoohoCAkiBYQMFAl4f/W0ACgkQohoCAkiBYQMD1Q/9Hxhr7pTQZ5fA +IqB9yAydcikO0j8vixEKlSjqzcH4fVZWX+skDbmd1lOr3gs948gIHneX2fIUwJTH +9goIUyWmzBfnWyxMmO+ngiEn68o/QctqyE1WTR+cqFf7m1APxsNoerRw8orhKNhr +EwXprlDxYvu4JnWEvy7lycBbZw23u9EHTVH7HjlR1jcfU9JWaI4Xg4eOhiKQpUt4 +xYTNn9PHWoR4QvCR7Pxitd7Qy086yxxVZPW1f4bdN8UB6GRisOAMvJvql9rFzK9d +rgOvhURnrH13PY2pABI53e0XanCkDMdPKGbjMqp43Upw8ac12YuR6Xq/nt/9osHH +FTbCUU8jsPExiJNCZDmcVf7Ec5Z5dXwU3yNFg6GdrXhDCXqnheXU1uO+OWZRVe7n +HcRitxO0cVbxE64NyCD5n3+MiEfKSNWr1CxuiBjpnUwfGm3J2+5UZr9bi5rADC/K +k6SNfw3JSK7VohpzddmqXyhSAiuc6lWn6whvy5z2Dm5NuQhWvU2nybys+2gXpAxc +AJ/P7WO4UaMla6hbHfhhi8ZsmQN34RqwSKRT+QVLKDErRThMrcFvH3dOffV9Aw7f +XX0Al7sT6ZUHFWgi1VEdtS/8JQOXVqTMjh1BNXxFW2yIA0IHjal3tFewtybl3whD +JSxgyyIkBY/Ng5ZAygVY3E8KK8Kkn/eJAjMEEAEIAB0WIQSBW2OYKnn458cnhsR2 +K1e7eEIGrQUCXm2CFAAKCRB2K1e7eEIGratJEACLMPerDj/lG3x9lqVcO8fxSB9Q +JOgra24yB8LCPSjmGVqdIQp5D2ETJ3To51PLv1pMAhNH72C+FW1j2mntYwQ1srjA +UH8eric9GLg9tZ0OHxvX2uBVrnYyyAg+VcVN3+5kUeWWisWtLvdeZ2F86DiaLWjz +vZ5jkSp5ouuGK4ZXR0Tvy3YSIh+GS2vfLKX/GDgfAJmUcegzBbCXygLxU+bSTRI6 +NmsJoPphYtq5t9Bv4RnZ5En0KKKVYv38S613cBtvhtVpt3TdyI4RD52bxTmyb4Bq +4obtGZbZ5aFoxlgIqH9LMaEzg92JTokmM5K8ar5gO1sxPybMOdCruuYk8FpICMOq +fvpr1QEmad5a7VAIXArA0jtiM2LJuiH5bZgLi5Qq+4JFs95HHC3BJZ3PaBaf05Po +fGzrU/JJDdVPIAtXKZipXu8txW9mdMUKH9/kKBOAzF/blkzBgP9Q3BpMDRjRvzC4 +yHyczc+H9TTm4K+w8gHZr5LE5U8RJrV+7JaHnNCoJbsd+/zsgk7IbHtpvn4QQzXq +MtA28A4ssdIVLn6faVtfAwU6IPgV/7+ccmoLXDoNdu0k5cVyBhvagGGQOK/ACwAU +KKQq72ErT7neXZOh3BEKDV7uDa1WQutEM5v1Z6aM+KqFUnYltmppq82aL3DjfvVY +gP8JKb7uACXigKfiDYkCMwQQAQgAHRYhBHoYgH8QCkVwxZaEIH5OZchyC3BrBQJe +bYIoAAoJEH5OZchyC3BrPvoP/0TIciDundXOgKJcAaLOqBN8L0bfOnTJ61CYyfyo +3hvLY3+0NFy01vp3ZS4pcYp7NS1mrRa94JCzEdl2xcv38mjHuDEnr0VUhIlwFKwL +0oQfDEsddJlvrBBcbGQEqb+XspX4gF4gg0DEprRJNX90S5RO5MfeYV2nAIquj3fB +Blm2wkf5m+I7g5ldvU+e2SY0/B4PgFNPsloM7r69unb7+qYQ7C+z0jLsz46KcDBC +0sazkBkf1dTw3XNQW6WR9ZtbyapBZu6BbKFaxRnX/hugDw2QGXRx9+5/Mpm1PR6o +Y7Sx6JfgSBB8xbQ8bZZpI41gcI3kvEFU6eWek0A92l6Px0ib98FBRYeUUe1mjLNZ +BGFKnJJgQyPnYPrhcvSjQxfmyjxnDfydAO4hVtNRCZEUMma5w4iWw6dTDXBoPaj+ +jix+lYs/ebuZ7jQTp/JCHWZA9HYnNxUvkP8oagAM9NPj65IvHMhlaRTnUFXL2Nfn +UvON84orypNXD3o2UqiQtk0yzs8PzgwXVJCGf+kqkqkcJXZaqWeQsRV7+y/AOJ3p +dZdjUG1W+1hrYW/QEmPYtR8L/aOTKe2eWZEPB04CqHnfkIlsxrOD2vKA7PoIQHCy +Ga3lAPsL1FQL9/p3UYX0IwmOYeKmMxgJDHlrTmG1xVzXCDIP62mWcOjv9/WQpKpu +OxSptBpBbWluIEJhbmRhbGkgPG1hYkBnbnUub3JnPokCVQQTAQgAPwIbAQYLCQgH +AwIGFQgCCQoLBBYCAwECHgECF4AWIQS+YnNzjmFtbRs6COiiGgICSIFhAwUCXnKn +mgUJB4VnyAAKCRCiGgICSIFhA7gnEACx5AVwD8+aSLs1Dh3econKW0TxcpaZeIwx +jPVLP9qDDnycrCp/2kcUuCLfDWlJEjHsCxu1TqPpZRjny15KVy2Pq/b5qBwT6czA +fR/YMd4PbxAzSYX8KNqmrzOK7NAyWefBf1r5Vhc6/18EdV0rxdO8MTut+vMtXSZ6 +LxzmM78tWbq/wuSbBhi6cnXWYMAUjKVOxYcSle7cpTm/ETb0puFedBqIJmGZ/U+J +JpfiGyatNr0+aIwOjHFrLEH0dldrSJjac5SXElCGVYqGjGZxJYZJnXkO9nZ2cvpX +hZ9jit9x7JCwYFYnNEOy7HgGOybwGAIbJrvSfP+kHoOhO0yyCQbr/F0KNmsRWC6h +i4bcE4+HGo0/B2AYE8Dg/FiAEGg8KyXQpWHeeAWaSWiran8Qwuj13406yqtRNjEc +4whMpfvYKG991gCPwH2h+OvMGlcOjDBXHkfR5DNXL5COh8CJnp+wrHGqPxo1zST4 +RpDiXMksl5IeU7u+DnqZMNhu6dqJDcAx+WEyMwCnZ6i2kxkazHlPBxgq+w5h9EqU +LA9KqmruOC7ypl6NUPEZKl+uaMK1y3qvdG9O0h8wdDqe7CJQThuikJpTIUQhRasW +CM2IkRnizV9k52SqvHGLCpOBZFIQH2J4/RW55vzKlppqtviX8xvgT774o+V2ZAHS ++1GR/SpS2IkCWAQTAQgAQgIbAQUJA8JnAAYLCQgHAwIGFQgCCQoLBBYCAwECHgEC +F4AWIQS+YnNzjmFtbRs6COiiGgICSIFhAwUCXh/9bQIZAQAKCRCiGgICSIFhA+o1 +D/4g6d0gcUwM9ENxZKgsm1z8dXUK3KXfvk3RKIU1MyYBmGjdKmF5WxpilohsL9Dt +RIbQlAfsaD8D+gaEz/h+H2Bj8vhPibXUNCrSN8h9TNrZd2o9kaOx+GT1ZsKKSVw2 +WG12unKVRoVNC+u5yFEGiMl9hdDwxvZWv5NajnYrHTuCbkofx0SlaYEYD7MjhKrD +fgXje0aQ/LQFkGS10RBQhq1G9OTjmvWem0K3D8m7vSHdFM3p/rJIrgwDUTso8EJx +OTQ68lf7/YmIdZEkI/syqnUFp7genrAaEyWDgBgPz855Bzr5cO6tIf3vv1CdAPAK +wP3uXCGXIV/T/O74zh2qr1l81P2Bl6/zhiIwOuhoiS73CI22OAk0AJMNAQffDVvj +75s5m7iJJvfwfQJXS2plXfGx8ci1+9GVhl681DLB6KjvvVCcXJhGNusgfjVM/5Y3 +1K42rD5akIE7vybndEFyo0IFEjCAVdW543RN86zNtCSEzRWMB1FnMM/UVrnrpmnr +nbOs74UtA1SknlSF7V6/P4cjH6Qm6OLiwWWjpYhfTlcN/xK9L2Sf7DfsePPXeNxY +gBRy2H3NONEcKo+f62DgmV7mtrO9u2vXbN/CdAQx35K5jYAAy1pV4d3x8G5iMlf2 +0xrjOZG3bVbvk6cWlxm4+o3BrreTFDtVdk3gyMU8q48CYokCMwQQAQgAHRYhBIFb +Y5gqefjnxyeGxHYrV7t4QgatBQJebYILAAoJEHYrV7t4QgatZhsP/3WxGI+GMPJN +6OmR5JAFWN+zdo88wqKyeWF8wZD9BjVBgBpNh6MvQsXR1iZUMNEfnVJLiSJ9s7bv +jo0YZWmPtKMUudLgMFi39sXurfinVdq6SZK1jtIf0u6MsRP17tnc8ABSe1zv6qf3 +DS4n7zgj4YMcIExiSe3GvW2SQ7pmTMo+s5lvmtuwn+a6afN52jjJA7ooVzAbXwfJ +SFH6GeoYYI6nVzSumBV+JsVmQErMA5eqWImvY9y0rmH6joNjIoAlwCQmxZKRTUmX +Esj8qlQGUm80lwFpvnBU0Fw4e79lQD15ggCLsSNtK83mlfLwutwl8V2wmxPwHQLC +O0cLvtUJWF9SIyS6HXCT1rqUqOm4KCkzbdHKeAewxNNVPYdE7avYI7OaJlp+U6NJ +AZyiW0tkXpwWWKBKzG5yy5MoeCt1a5Ujefq4M6/HOR5r/8uq6SjtQ8CPjvIR5oNb +f/yfy74irWrUMOJ6SPG9mO51dQl8cjNcZy+br/qtpvH3OJsdJL7hiMrriaP4D1qZ +UGdIGEvm1xF3PBqGfM/lSv5WUTLoICtjv3R1nhirTsnENXCS1qn3ctRkA8d2QbX3 +NcV//YEcp2yKtEl40KCR+EwdbQoQj63pe1CAPLVpIA/agb9/9hJKPzvf23nT16xH +kVI7MPiCLfMPrxQJypiAUbZvUxsejvLFiQIzBBABCAAdFiEEehiAfxAKRXDFloQg +fk5lyHILcGsFAl5tgicACgkQfk5lyHILcGvn4w/8CT51xXUDeeoNeJrbk6ROrT7f +tJ7vK7MlmRhoQdmWRKMjyv6S7eJdFGN8IOIyOJtuBIvZgZUhPG2laQCht2HhU72X +9vkHDW8O0NBEyn6CLkCA/AzUIoYkGAiQxmGK6ZIKBkDw+JFJSNDsSRIBzLKONDvl +4JSZ/wkau6M4GnK4peZhdk3hfu+n6813T3lQTl8YETLYptEf/3RdtAbIa04hXidG ++4f62C20LCuNewFFXsxYT7+Y45oqpOpnUEbpDieQf7IeYYZQlmPd8i0JeRYcvhch +xHU14TInRo0q9O5NhlB3vzX/PeLAP/mrfczh6QWs+6cpa0CeLUSZIL3MBOkleAws +fmfG8dtD4AgLKhC7dohRWrQsIBVL/0ZsvNbiDYyAMIAnCLX4xnvG1r/xEOjJbKUq +Yq5AQivJ+fRE37E0JjP+13cUfoWcZVQSlK5ZxF6iUh1wxTKB1xl4MqoA9+uZXGSp +bZtBrw724CVcIkar2hYezzYzNmaqNu9gkgu+u/710+WdYLAOQ0q9pafdbbH9hXDU +Nb1mMusDydNpWpNWYqQlVTZdlDMVAG0vmJkALvPZjmRN+7SszQbXpVWDQODpdInQ +quj5OPrlYxhg1+HFETcWTNqLAQCCKaPYZd/CMiPjhcEfFBJIErzagB3qFdsbOPAt +XGokxBhM39JN3nCxYBa0I0FtaW4gQmFuZGFsaSA8YmFuZGFsaUB1d2F0ZXJsb28u +Y2E+iQJUBBMBCAA+AhsBBQsJCAcCBhUKCQgLAgQWAgMBAh4BAheAFiEEvmJzc45h +bW0bOgjoohoCAkiBYQMFAl5yp5oFCQeFZ8gACgkQohoCAkiBYQOx1xAAr++zyNuQ +YJzS2b6lbssgA9/XEtngEf14X9ZPS/JH9KAohzI2ebM/isso1bE2dTbeDEo9IUby +F4m7bwMjblCK8v3QYIwnwHr+usDRjza2ycyKyVDNvnJC1LYRpo7oQvtFku0ZvCCk +Z8QsDSV+dnAuhBbg0x/9tJH6JfTEfb4su1N6hVoWBe82/purElIkP3BTUYV/YpW2 +VIBGN2j5e0Lm0vezUGzEVwp12KNHvY8Nxq2IlGmaTXJJISdXN6nKpChrIC1dsxmo +mdS2AOb8NY+az9NL/dAJe/mrqkHBuEg/2Qpcrl3G6lr81MlCMWrC5+gKQdqq+feO +okjSEdMSiygYag2ndcnCar70r7Wip6E5hooOQUuhKzdnxd9uOsjVz4sXT4StRm+T +pPUTYvscYQfJoZMKHoBU55WlhDOaEXoasFQ/NxhunXXTfeH1lZyp1s1CgoWtgAk6 ++Gqej2JGo58z4j6fcfZnC7Y8lSyb7pRumoQVSPbp2JrW7v2F1+yiCczifa8fW16q +OFXR803AH6+e53GJ8MTTqr9g1r2DMF+cX/1be25UqL7N7xaCm5aKkqaOmdheCb2M +iMbBLAu6u5xpJQB4anyiGU6F5/IbsUjgBMurZYgumL7//lHNhl+d6xBjbU+fqPM/ +uWLdsAIQYEUU6MK/DfwSJker+aVweL/p8PyJAjMEEAEIAB0WIQSBW2OYKnn458cn +hsR2K1e7eEIGrQUCXm2CFAAKCRB2K1e7eEIGrfiwD/424uDbE1qaQsqDIIJyHWrT +DBLOkg4DLpEoeqp+vM76FJCy+zv47mfmZj8ybyaU+5eDeDuuOJJwsHHqybi0ULcw +gBHPxPL6cTgJvuGrOw4wsZ2SGo7O46DIXfW+Ijw9wiebRh5ub3w3nztYtD5cZ+dD +tfcLN5xcjbgUXHwIJJNBjZ9cbZpQeKS9TgcFJ+EJDItkmCIV1+qS/cYQWEpk2Bdo +X63F1npnZ4+3uewQJn7BA2FOaHSP6+EyIuqTnU3AA7sAwCcbtt+w1WVj5GpbBQeJ +YjfgYtiAL4bpG+6/egogo+tg4YYByg/gOCI8SoshZtNTeA0kNiMUXFz144IdzZ3H +9SHsx4J6KsE3728HVqfKrIDbMnqN7EXKZ4sSrsKa16+RVavf3wDAz8fYDTSyYEdl +aEcEcSC+WiSnzo83wOy8P7t0rFzr1SxjfL5ZNld+m4U5Ow6D+ya57T+53ZWXTQos +M+GU+ElgleFuuNmoaupD8a2GOyPdq7k6uT72jthZxiHsfa7tPNgDXmnBTb2FnJX7 +viCVOLTiKXRmJnW9d3Jf+QBmzXSh+/KX1WkbW6lyU88YA0ucL/EaPiSXohbfCEI+ +J4GnTwV7s72hrLY0o2qSwjEUBmCdhrMSaoFIT/nfpui1hoh9svV5ykEI7BXZkhaU +y6wy3rz1flV7fvwWNTPzMokCMwQQAQgAHRYhBHoYgH8QCkVwxZaEIH5OZchyC3Br +BQJebYInAAoJEH5OZchyC3BrzCcP/RlF3+WCX8JOHQhptKV/yqWMalcZtYM6JCp+ +hdjNz8Lu+WKRoYOjKVgukxI/jQ17VqLp41yWtL9gOeEtDwDwruPMw2RNIq4tVl+4 +I8Zx+m69zGmIdm1eDOwvC8Z+8jwlxKJ4VrHoWyrZ4cb0TqZuq2S0A1Xb0nha8hOH +5mTCaY6UA05NnC3cZULMJkcrFw2kpBKHAxGpr8ngB7oni9tfoRkzki5lQ+PLeZj1 +1ioxyfMT6IYZsMhPatO6rR2lU8gYXYQWLiGGYb9BBoRTFvt224Wv2rjE/X4mcbZB +2W7B7TEWIqNys0VIht3LJj/zyJvoXmwXeU0+33xoJ6s1WTFTq6PX+fJCbKI0m3MW +J4PZZxl7TkVBF4BCEB1Ka8q1mJ1yhlZDtQ6aDWeCiobjREkRRR1wK/UTlz3PIUti +/clELagelmKBhgdUBklYnOM0z+9BqDUL9w2xFUvsNMkfXqnAdr7fcyuWWlleM9fu ++qW5DTvGwEgCUrEz0pY6H8KXNbIqlbg/zum9UColJMZcXGP8FWLXo2+PSfW4W0JY +XfdG0hV+kvt56AXbfbEHcMAEDpJ1MmbZLcHikEN9HvvRaKc70a/Wny413c6hc2YH +4bCCQ5OmVs17sfwkjg+eqyvrbgyZ90XJ2yf8s1cLKiSnJUUBdWkYrEHJ2gFfwJOX +4wTjK8RStCpBbWluIEJhbmRhbGkgPGJhbmRhbGlAY3NjbHViLnV3YXRlcmxvby5j +YT6JAlQEEwEIAD4CGwEFCwkIBwIGFQoJCAsCBBYCAwECHgECF4AWIQS+YnNzjmFt +bRs6COiiGgICSIFhAwUCXnKnmgUJB4VnyAAKCRCiGgICSIFhA8XhD/95H2ShWns5 +I2ZVlHrYUO6MxrDsGeukkByuD8pgektg/oE6x48AFeTZTdlsJtiRTNpSVyVJmGfS +O5NYoQw0mhX1xDYgtMRuT7kUARjyO23BYaRlXWb90QE3tujnjeJjtH4HCEmj4fAv +ihiNZhDG71JhlTp6AXzXKeMT+tHblb9083quQiKiEPnr2766J5wAxuRs2FHKVwMA +kowrD3NcGYzfHBrdVlQXCp5jVT307gSQlcr3aRTajtQErAHWM/4ClvneKBY551XV +AHLU9gd4Rvc2R0G6CapL5z3VihCVBNk4iIRkYLr1fceqYoLAjXTLFVL3xYr+sJLL +mUCNikeZ1b0utubveXilPqMibrLQI4gsTj3KqJDAbexmik8yFkSyqyQodXOp5d0U +vSe9/+wd3sIMnns7PptZDqDI2gj4irbZP0/Er6/ta9TanfNL0t+6tL04uiou9svf +jq9TSjmDX8H/Q0FOxSqlv+iOdmOq2L7aRMU/Nr5NEjs1QktTcYyFHBLm0D4LG1Oj +JmTV2SYPIGk4AlwteDVkKi+qnROvvMfp10tYq8vIOSQbJ9u/ihh+oygIkJrds4YI +7M2C3Ni7SxKAPP08zu7W0O1Neep7LxMoy7bAavMVALlL+Eibba3QmYPJyQSkq39X +hRRM2HvEeAKgF+HsKWgST7NGaDVP94QiH4kCMwQQAQgAHRYhBIFbY5gqefjnxyeG +xHYrV7t4QgatBQJebYIUAAoJEHYrV7t4Qgat8NkP/02tGDpBUWSwytT0eajQWaaf +Dc6v1iFZLlY05Uj2+AeB9Rm02Zu/zs0lpbvR+Z8TET5BuJpXIJ1nsBrgXBCv41bL +D4cLB1cSlykl0KUnAPvgqd6I+W4sbxGOi9xmfvzzKRcMmAHY/VbiwHHPjLcu1AgF +FAf4lCCkQT38Tc4VzQsOwQd1nFBEgWkkDsDFRuBkUcTAtAzm+83sEKOXTMxfYhAt +//mF+aaVsuq0MzcBMC7wyxug40aS8vZ2Vhk476IK14vfIORGATK0TKNQYrmWp3dj +irxHuYNq6NrMlj0ZJodgUUfiiRnxRKUgPdUNBWsxt8GCcgktE6NIGoR48qI4Bquf +d8sqFA76xd5KdKguccEbVEWAcIaJxLSuuYrJi/FNtv+8D2oHtlyFXoL73DSNNbjh +kGCFnCs+rqtn3jQgpc5agiu7idIeP0VU+dvXxne8ORLZWrpLk4yNuCM0ACBMjDzx +OCCiNtJNT56Fr4rowRA7RaXIdwKRrNH/rnvi1HVrR6sCCy/1+HZ/fLB0zGMfJdnB +u9BO7+4UlMKrRB1zuR6D9A4kK6YfkkehvHtxJVf4Wq5sMINP9X+3AAYsLl4R9wam +qAjDrW/24vxVLLmypsrJg/3NoULaNw8Ursf6SAllaweNXCh6/0TbY/73C3BnaO3u +y8diVhV/jjQFEhbKeXsAiQIzBBABCAAdFiEEehiAfxAKRXDFloQgfk5lyHILcGsF +Al5tgicACgkQfk5lyHILcGupGBAAjqw0PlMFQH6J9iqJrHM6+rq8wRFmfhhm7uo3 +n2u2paM2+L/rMdhPwgFLgDpkMp7q74cae+5dSEmI9+Xcfc7aw8uLHMfChw+bGN0o +CoL43s9MbDV324SNmSP+4WK3biGSK6in85xfPYR+u6dg1sVMRTZxev9IpcR8U3Fs +ZOCXFaoWSZMxCEbBqoM4COk3DPle2PveAalwTxrS/Q98W9C0X7tRNPSEG6ynrCq+ +wvlaykjjbnSdAd56KL7ynPnBly+lu+l4Ey2/H+09EQ+7WzvN+E7jWp2cyTQ8NVQ8 +RU/fZsqJLzwAOHzJCZj5cOouGRYWgxte9q5pFPsDv01wVzXITjFCJt1JNz3tR8lS +Bwc/2X8TqNgdtHGM/hG78HVkGzHzX/shMMKEvv3tP1cUU023aN1zXP8xM+cTtcp8 +jnyZULyqR6QVCbZhHbIQajpD8KL63Ay7htuERW9tKDqePEL9UGyMF3sY9uOFp08f +8ebE9iqpgMUQOVtppyOp/+0dAAvyuEC9FepHHTRTUV9kVXb+n56lUxHfZaerrwV+ +Bf1/BycTBS6RHH2v86pX9KlXOMkEiVJiHjq0UGagbmLM1STIPls+Jj2jS1Pf+V6a +oNNHm5J1np56UUnHarUpeVF+V+EFP+pOtjZt0WDkXQIvAK8Y6XA+Gghl08j6mHTG +pyxlYkC0IEFtaW4gQmFuZGFsaSA8YW1pbkBzaGVtc2hhay5vcmc+iQJVBBMBCAA/ +AhsBBgsJCAcDAgYVCAIJCgsEFgIDAQIeAQIXgBYhBL5ic3OOYW1tGzoI6KIaAgJI +gWEDBQJecqeaBQkHhWfIAAoJEKIaAgJIgWED0HEP/3Pvi52kd1XfjRJA927nh86t +K3Uyo4ZESTZyElQJXcuwUCDnDu4mmTjJeOtMa4LSibaQsPCg5PStxx8RTWEhwPIe +w0gMNOW3mM5IruwAb5Ag57TrfAWvOvtkDSW8wuoOQC9qTaoffvccttNGMIUztGMU +kXXEksCWEEO51Yjdi1RhbpZ2uoTH/6QEck9WvtC6KMDLxj/3JWzXIn9pFlY/235H +r5x56O1T3Pum3mm25IbozXOH0ajiqx5Hl+OvZ/2ef0/HKNhaCWnrBjsbF9/eFLZx +Upf8VFnd3Y0/1rlJ+O+WMfaAPu6K8wFlgd1HgbXI8raFQx+NpONxj0OeuqWSQK2E +6JNWQ2PrKpdZ6WzHjIaZi9znHCHLqQxrQ0ffH4izrukqFSeHgEvELH3U08tkVKEz +fTiZj1lKs0XuSNITzNKSfLE1KtJKUaOopPqHZahAksT5nYgvN1tKaSnBUNwzv6rj +RJBVmDZqB3ayVbw68ahc2w2d1SPUgg/GimDKsVaoNA2UI4/JomEgjkhUUH81T9bL +4Gcw4NqWiAztE95xmR478u1+7SrMicj5IPfIhuf/JuXu9L/JGCRGEY5Ltr5/Xlu/ +oms/OVLXMIfWNub+uYZYdP3CBUpU/Hk3S6c3hR0AXBAtcx+fjD+FshqUQbg9I0uT +tEOPOy/oZyvYnsuHzGoiiQIzBBABCAAdFiEEgVtjmCp5+OfHJ4bEditXu3hCBq0F +Al5tghQACgkQditXu3hCBq3Y8g/7B+HNrNIg75/lI8chGspgYmGOPew2R7HbIFZ4 +/b9LpkeVhyw38qUfEmx49pya5Xmc1vdCvzd7o/eYtXnOhTorFt0RNI3MKqoJME6/ +Z63t7kzXPt8wbfJqjzOjxdW8SEyVSbwNsSAEIYY0Iy7DOq9Ahi55luZxHop4rZ+e +R3j9tN2HdWPr2WZ2HOGysRS5nOtt8mrS14jJLRIpBkfNM9mPxkJzU+FMvGFieBkt +7ghulT8FbAGN66JGNsOqn2HFSgM52iSJ9Ab7zSC7VNTNrZ34RV34BrRNs92/+HDC +PqVS0tj23IWshiJIngqlU0NRidPTKx0/1crIz7j8vidVqWiHtChDu4Ot1Hhxuyz8 +VaEPKJaxsiMcyC2EUUfMYB2LNKFqyJNJ3PQM3HN40SjUowmzTBtJ4fABmiP25KMa ++o5pmKA339Fp4QbyO5qwtW9iPTjLYP/Y5cdu/2DZOnV2MWpEBNmXrTt1kG+iBCQ6 +1BRl2Yha2h/2Jjawas799tIcuiDP+swwPBkvGwpIxnJ+nJKjtkDZqM1M0Dq253QX +Kqd2/8O/zgfz45yHIxxV/KPCji+jHOl2HD9baFuAlf2lQKk6/+6F8tOC9tZDJHbR +4z3fYoapUDTKzNT5IR6M4jGFSgh++n0BFCPie4p/JyZcFaDatPWvO4lw+yFYRrP5 +AFZevT+JAjMEEAEIAB0WIQR6GIB/EApFcMWWhCB+TmXIcgtwawUCXm2CKAAKCRB+ +TmXIcgtwa73/D/4tj9+Q3bvaX+dIYR028kHT1eeKDgWPAXbrezsB43NIZioTXCXU +R4/wwvjVP4SrQJ2dkIdDcfkknbulNRmXhsdNySDEo6L9OwSTKVXwNTnPfbUJUz0B +q0d458xpGCnDs8jOpqlcJj4n4PXtJiaBBuWaMPEI/UGUvn8NontK+nPpWB0Po8tu +H9e7t0TiLZrR6dEbIiT0JWMCTzS9ycnz0M6ru12ZymQO/K6eMKoGWlaMC4hpVrtu +h5raSnYYptHO8EC6uk2hYFRz2HJCujAwZ7EJmYEw9M0ENa1NpYPa0eKOGtrae488 +IGSnfovVNCrMX/2uXISGP2ol4fAejDQ9GpByUzQp8Slqq8377EC+URR+MVDZNlUH +3b6OFuBajd9iCjImjYyauHaf/WJJwj7Tz8tHHRwQX0mdRgcEbosLQDgP0TcZMMyP +atPDcVurHA22uGu4toRP9F1bDIIUupZp4HP72EUL/pco48aUuE2wC32xttNk4DR5 +Azg/Me4mDfsKEJagRM1MEHxIvofuhYir76KKn9t6HnYjqGzGrOS/ebH4KUabw2Gp +REYtF5eok7oUFU/pnOpKUpGYz6cUscZZsE1k4af/s9x2sipe4By0UruGQlArpnb6 +ZIGJiEQPqW077SpnrOX6NxMfn3rz/tKbVrxIEEd3LfxtPpEvpJYc9gGAarQkQW1p +biBCYW5kYWxpIDxhYmFuZGFsaUB1d2F0ZXJsb28uY2E+iQJVBBMBCAA/AhsBBgsJ +CAcDAgYVCAIJCgsEFgIDAQIeAQIXgBYhBL5ic3OOYW1tGzoI6KIaAgJIgWEDBQJe +cqeaBQkHhWfIAAoJEKIaAgJIgWEDMtAP/RSA33k9RfFy0QjWjYs8aoqIWold/Y55 +HA11Jn2WxQFRzQCS/zDeNdSIZHyYdLEMQCi8tuQj9rz0ZE7uu8N0T/o9dq19MriB +1ndOPeVLk+4OanDtwtzhTUYmj9b8GNI5aNwyeertZnr9EQ5gz8Wo/gcNLG4/aKw0 +l6vfob9PuXLh/+uw3N9wTPq+7RckOKDWL3X2yIbGSP5uGhrcFt6j0LMyEzjAFd2p +3aSViZ1FsFvWAgJWQWZFMzWGpbi5BZs4CKeQghVoZs5yZhZhUwGoD3/RdtD/rs0Y +HbtO3UOMYH1ZO9LBdzbsqmnm/3Y9bxMPGk5OMfGCmzRvnonJP+f5JrnNihNvg2oi +A2xSoLCx8IKbXwPPdzYd/yRmUKieqj4ffwM1b5Z9kL2j4PFOQMXUcUPizXWmP/+z +3wPbF8eo+EysF0WEsj5p0IjnnNsNAABNc8zxEJgbDDZbU2m+vtFgLRIt6W0w7s62 +jaTqAAt2Zx42wEXg559MJI1weILb65s3Mb5erKSpn6NEDcq/98sBbmiPe4Okcgic +cYZERvgMhtGOiqxAbCjavL61lIEMckBi0EIpPjEtHjkRc/5iHPGPSM0EgZTvWdxh +e0sUX5SoZuhz9nMNqvGZtKMWVBa6kHbK63g8U36FL7rA+zBOj904wcE0adedASzq +t6bqo7PmSTW1iQIzBBABCAAdFiEEgVtjmCp5+OfHJ4bEditXu3hCBq0FAl5tghUA +CgkQditXu3hCBq0Byg//X1qtS9mqPpFa1uCocTN6Fa5YUcZfVcwIW3Dsp2JHVjJe +4oTHTmJa0nQ03CtgRMMxhZQ9pFBhVUPJCAWuMh7M5jBThEvG69fQsBhPuZ1hdcj5 +FnMrsabqesL96H/cidBWaYk/QH3WZZQAq1IehkmvlVGt/iarrOdUCiktmJSpzwS3 +yiMEo7TE8jvKzLieKM/qmOwQqd2L/DYVbUsNdb3MbUXhQs9HvOKeEO4uza4off08 +oGIsToZc6xzI+4jRDL/ew/YFf5TyFSFlt4I7ZcCYrd39dk8Xn4T8QGOkZwVKxiro +D1T1nzdlUs37MYCTix7xSI0SVdcQeuAOo0HvrkuYtis8vwFyzXL36JmIwQ0Aknvz +o4Wyzd44Gf2gYdwfcaOs9mdbrhkotb0KIF91SuxyP+VReVoauqfqVYzxVLcE1/CX +9hAzNNwqBwKCrXa+3UEfSd0qlJbANBbWsTnP1d70KJRROaQtpxsq8gm+2k3pghmG +d3rkGwB6UiguyA0lbO1SPnmFUz0/rKSXmMX+2Xhg4hwKQqpy/89pTV+OQij4B2ld +d+0IC1iy8FhsZCH/MQCtmoLNJ+UO9yz09ncj83J4QDerIqYwxMGpoK+JW2QJM0KC +GCqPXeGqNuoPYxfEnhR9DzzycmBjSsUhlWmymWE06TyOlILWWiqZkZbIWJsBli6J +AjMEEAEIAB0WIQR6GIB/EApFcMWWhCB+TmXIcgtwawUCXm2CKAAKCRB+TmXIcgtw +a7amEACX8HQPXpd5+sDQv6uBn0RQ/7YON0J4eTwQMi+yyfC+O33wEPjEIC9mpxh2 +yiAF6VHfvI/f1rsaX9QmraeWah7/zwk8E8m9l22+SDUx0q0R9xWWlDkNvpK56ELN +IgVx2GfbZbhbtEBkd4/28ltDGPO5xeQ78t6xFwYmVwPCu1Zl3ah9Xq4BrUCbkfGh +1+dj+7PJFyP+tAHCrU4wKSpLyr0W79liRQLMxMfCztOnFiZO1eEbEMsPm/X1tp3r +A9X0o0wrFQ10Dbo1vxuLcKi+jcIJNKqY/3YmcLlZz7dIuOzGtIu9Z18HQlgRF96d +E324Ee8COl1/rBB6U0JFsbOtPOY4cCK8F7HXub7u8DjIDQzf5preAqeskJKg5nny +VrfMEhZN1wGQyRXfDzKoy/qTgMFigX6WGHrihsufnbuyC9W7V5WP5XliyLnphxnT +pV0F/+6r1m36dM2KjtiBLEfOr5S/1G0cwXXupWpoic6cZsyfcz8auhM+Cg7sb5Nk +9eO2ex8Dcyvu6KIdruLfddwzk6DpxpXlDF8+lYQCKc2CTx/DvsF51qJzzDY6uBSQ +ENirhgXT58D0fRYULaDzG0fudobKcbGUTwWZBfaBSgadtnwlAJqhQBO7LPegAMpB +VNPSBaEay3d6lVq1mk2JJd/HGV5YEPpC9pVq8Lq94hFQwtNfvrQrQW1pbiBCYW5k +YWxpIDxhYmFuZGFsaUBjc2NsdWIudXdhdGVybG9vLmNhPokCVQQTAQgAPwIbAQYL +CQgHAwIGFQgCCQoLBBYCAwECHgECF4AWIQS+YnNzjmFtbRs6COiiGgICSIFhAwUC +XnKnmwUJB4VnyAAKCRCiGgICSIFhAxw2EACeupp+fSgZ03AmDt2GkOGGIIESH01T +2L1u8bJ005+uB4rvscGlM/a0ERSQqHH+tX2C6o6eTYUMBDpksld0r8oyP8bF4vXw +ECHpenIgUdWl9pqPr5mVX2uZu32xmMWgmkv6xUahdeps0SbolCkIOmJ1A+qkpo4S +qOTTLcdbthA48rIdl0BKApGEjfJTl59F3i1yzjqmZtG4U85q3xTVdWNFRBNAX0o+ +UDnmW7y4vrX+Ya+N/YxbKv1khzjfUP/Ap2UZ1BedTqcFWJiJrHzzKuDcSzTiiAbr +0euHVGgo83V26GyTrL5/2zp5/0rUrViqWg//e5NzPp3AOOtOYOrHDIUNCr/lE6NA +H+puq7RdThd/AO9PGjxGsjyz30S0z0JmjaSObl9MpsmLK5qUco1XHFyBOVkLCyw/ +XdkGh1D++q5Gth1RvBlLLltLUTHHMi2Iad+teRMFSeG5KsM5kYTjszrMSyF03rQo +EunK5EZsDeMn5XMu33fk9dPCQUniwPvHuWHRopNQtK0lTVBSL96VHofHIb95zCRF +OyvcuRpFLjMHycalHAKR0nnKjAqO8HL3LeL1NNFhqprb2cLYNRNWCEm1OGwsZBzX +gfDHJWLlV3zX1mWhNMEzlUy1ZNoyZatUaCFroZmNP1IDM9OYeg6ISowr5YeTS8rD +PcgJwl2w6wTkuYkCMwQQAQgAHRYhBIFbY5gqefjnxyeGxHYrV7t4QgatBQJebYIV +AAoJEHYrV7t4QgatwXoP+waPhgf70IMsI6VvaW+prnT4/e5k8kA5Z153TZL/BLsl +X/KDYS0Qi+DTZn1va2OO51sAyJliyE6hpOhHCwYMORMoSy6yT3rgYOLE/SygwjuL +E9XNkVhcmYZE8nNCbuMUMA/r9SxL63TrcTE2kYHA5/Gxp+4y3v5Csz1VonW3I7Qt +nXkhSOI9sXNCVphSPqMfM93tgq+DciIKkamamDtm0kWTRsHz453JTOgUtFSeEqTQ +9q1SDcEgWm/h/E7gfFmmF7WRFVQkGvxH2l65QqqE5W4ZSQSPg1kuCAIz6iCSXx5b +fDnK/cwd2yReLFQnOPxL2AnkjCoXpC7jlTesCSFzDPF05bQzib8HkPEpmvLsoG/4 +DYLOZAkfCVhAJ0lJPP64DTODiIqpSTBCcwMIsHo/7yGwtDqAAqeF2mVYLmQUnGy9 +oWzDdTDr/PSi83nfdF5Lis4JaXrv1yeHA+11x807W7LyXrpEf3dNGo8r/SAKdHeQ +jiOiIXCep3F+POpQumtVNhwXgNJsu+rir+QJb4KszlwVzc3w9UHk8OjaoTG6MWOs +PcfGHgwJ6Sc+nrFs3EE/BbMoIWy2AhDHonoB6m7TL/fEQ4zv4WDnBkmxFxhzJ1e0 +e/ol2mBo7/nGaGfO1uZmKy32a40Hkui1mQpL/tSGuQWLYq/k0/Qgym839t80wsV4 +iQIzBBABCAAdFiEEehiAfxAKRXDFloQgfk5lyHILcGsFAl5tgigACgkQfk5lyHIL +cGuGvhAAise3CoUeT+0f289J8oGSshYldHvtkbac9WPQZQ16D+uxwHmrJ35Z9Eqw +aKjlE05eX0c/Z6+ap3HLZ3AffF1iJ9VSrqLJ2UkSeXFHCo/aGq4s76bh0MYSWwOs +5aVsyuVFG0JEhrm0R11ZG9eGArKhlX+kdITT/OHmPqr/xSYJMFlSv7yL7vsGrFyr +IS1rmjRBHuLjIotGWreCQ1LETn7mcQkuSvvmxb2OmUraXBChtRg1sBlKX+OT9caP +B7vIr3SzaM9B5JcpJAjwD1DmmUtI71xPwDXWrSksf+gQtjbiSG9PXuAGF5wRzzFa +yCEYkMm88pyDa6BJAU5FJnOqWkKVFlsKig0C10c987+95ClEWmpekGF+oGMgGlQS +VkiL6e42Rgb85L9YsqPFZOmMLLnWONo2aklKcY9+ZeT/noyASFxolsNSsymI1vMO +J8HnYbHGIsnRYAVNuZ4B+luxywhAy6UeI2g7Npt46Q3FJHAmw43Nt/c9zkP1J0s5 +UUaoGxvGjahavQPDryvexFrW9jKOOCzP+QMnpL+HwylaGx5TVz5yDQmrxiFlCwc6 +CM3I4lBYgZfy1QE+SSPFaZZKNvF9QQNWoU4sO5rPgAtTcStbS2SrjyslZk9qC0oe +D7b76ell+IG3tqzlhn+W2IkuV0q98RIFqzrJy8LQNz+jcfGRGpy5Ag0EW8bG6gEQ +AKVanEC1p1QqALDGRVkaeNnrd1RO08OzlN0sRyBvCpyGUbZjuKLu+N1l29bnOL0E +KpQcoPyM2meiR1W62y7s589OG2GyPD+whTkzsLdpAR6QnaHVBa3weKP18X9dGVqh +k+knRLbKqv9/Jyy5R7NDEdh5IbbExsIWnb4AAFNaYCqsAyUeKLVWGQ4BnMzuB8Ni +Sg4oKHMeACoFGSXQCwWmHaTRCg6PCgv7u7/RIwRcf5YqaiX02BabBRvJkZvsP2c0 +xK2nga5kN1JhonTN/aCxVaTDyFe0Eun7gb2K/7docx1j9Hek48lukRHy26yj1/ib +OXf6+5OnHR6eJU8nOLjvJ9euyQZ5pl0uZwMFJFi/o+rKki5HpINNJz+ixbK8b6UH +IXOZvtnwWIER3x2UiP2thTxIF8Hohp0BmcIWiFWfR0t+tj61gKnkz0FYvsyI0eHe +USB3VUSa23tmknD953V+3Fq10Y0LgksEp4OweW+sBsZGmUdhEBm0FgB+2hOePKWw +KutbLYNVffMuPSgvWEt/7zP9BLQDI6/lPCTUaKTeWYad3jGwhkPwIeVP6Li26oee +K4wSRkcft9CZ4e2Vpst9x6eT+TBpg9Lvd75zvrtLOC8HVOt/OHOI0X9PS5qUnoYG +zg93awl2/im733gaCKZKUEkb18c/d6srAJZhwFetKvwTABEBAAGJBHIEGAEIACYC +GwIWIQS+YnNzjmFtbRs6COiiGgICSIFhAwUCXnKntAUJB4VjmAJAwXQgBBkBCAAd +FiEEObM8jZRIDS3cwqSYi0Sgzce5VvIFAlvGxuoACgkQi0Sgzce5VvJRlw/9EKaG +1PiWrKugCmliKP4e2vmFpc9dE8zXNZ5ja4eqhfOxcvq3nUgovXMcpWr5C8M+W1Rr +M//5VPzc1cQYWs30EfqfvSseNU4JTzS6quLCKQaSAZ3u0qUeLvuTJ7rH2qV09CnD +nrgUEKmf5Q5ZteWnighmtjybQ4xIfifYzYEI9hrGsKd8vdgEBh3mbwAdOZdEDcz6 +0Apv21S0Q9rgrD3Pr8oQ/6+2NgDQStTP5Z2zxM3YUkHi5j1iJTBs4MXUhntyQ2lL +TFKfyYqQ3CABqiX2g/dsVVXT0sFcAu9vjFOKLbHUG8ijujlceEvqgigkZH8k2Ohd +gINfA2TYs8nrkxL38n5v0xIZ47TSwoF7dd/dZf2XccaiKLj/UqtRqPJxvWb712L3 +vETzCuAQTrut9TAWWs6prtvH2yROSNPF7uoMXyx2JZkBp6o5WNAstV+c6rm1lOkH +P9aSUJiXBdXScweIlWEv8ZyZCvnD1xdaF4lOErQfsaj2aZnKguANf3I1anO+J4Ku +dbvSUhFNd2Zs07wr4nozkAI+F3Zm9Dm1llwTkCdP7JeXY+Sw4A7b17P+4SIiXAHo +cQyOB/amtA0RnCLNy+hOcvQWBjEz/t/Mw7zv8HGgs3TaFA4HAvzmq+bCvEAQqO0n +0VRhGsspI48+9oosrkhfWDc2/09z3n9bTn2twr4JEKIaAgJIgWEDwDYP+QFYpWHF +QEv9d0BQiotnWdvtKVphbMLw/DNZO6o4HOl+57ilmq5pb/NhdXhLRsTsbSHZVVqr +1fYK1Gb/wxSX+OhvWSWMPPM8+lfi6PPFAfC2QnIn2i5aUvSPArSpYTf61qSJ9c1O +U7YxhOwsV5StvjUNLrUJkNGkPRUhvahcj77xrxcC3psvIS6eEIwrjDVTBL24/G9R +BcrUDb3nsnYqduLlsv5HCEG0fNeaIbch/5+C2muY1NfU5DzofdvJ8usnmF3nCM5Z +SLV4OFOYUYXXzYwrLSU9qgizp81hZo42fp2z3pouW9Uh097E7pe6znwVKe9ucPoC +ufShVPlFWf1M2iEwhN8mN3TC0QBQDhgdSgcRY0v4GOfZaZFr4IUFDPQOA/jCXEdm +fTirlbeJR2liOe4MOZ7IPFfePu6w4fXnT1m9OhcnBoJz4XI2BjsvlXwZ5tqv8gf8 +o7I6DcqAVjdqBHikJNvMenIZvF00anJ6bj/2hwZVP0IKLr023Pgm0cO3MNDrjVBV +6oQvgq0wntuOFBYZajYnsGvoEb4KZ8S6ry36o4nQEPS4aWaSi0/BNQldYr+dKdrm +kwoCttqPXOxpM515swytj/qNn2rgMfCtOUCnmSHBOBPLCN1ZRT/ZHHZd/zw+HNby +n0kWRkUUq3sDlFtjq3f3VRDX7HKA72DN1HBkuQINBFvGxz8BEADkqTbsfu2TN/Q1 +37Uqn3YWwqCarZjDvdiQoFoFAd+sDVEID8K3NJ8zZnx/rgBXJsGqVsNnhfvCCotQ +sJpB+/ZFYNsZoEFcSM6E4XuJDr2UV+6KXCYUW4oNwgWdGAsAXwyDNhpxp9e/9q7w +5UhEaHoZFPp7XkoOV1/4XtJpDXDSoR63O1YZen5wdQR1s97bMqz7qyfmQYc3jSzX +cAzRHtb7TSZPr6z7HqPJRjh2aMMzTLxINECQe/PLRTuk7lyOMRLpG+dTpiS+9A3V +q0zNrT1DEO306Nzy+JtR60xeT5CKT2dy2Lz+UUM4ttn9J0WH9UvqDHRMdLn8JtUK +8oHdnR/60aXCpWlNLCFXUwhKftjrZuy+cQg3U2vO+6ebL5ZGTqQ8Ss8oFdufVfxw +pR9G5K4R2srbRqeq8cnI5+S60YP97rIBXh8Nn+BEqrbMMe7arlrc0Zv0F/Ry8utE +uSgf8dTmoHRs49RD7A79q/zn9NbRuJX/ctt/RWnpdsK88vczTcXhAF4wm9UrgJXc +1fga4HVrdmLMOtiYkT2v3RpA6sFuotgIkqm86fIe5s0bx75Y8EotfTiXXqnv9tmL +fAP84Vxp2j2x4w9Ixp0aXamNlFIw05AHKaHv+2ap8jC3hcHvn7oGxbEh6wHPv+Ec +RYbY62CuqUpPKozvEGyODLjBiWFSoQARAQABiQI8BBgBCAAmAhsMFiEEvmJzc45h +bW0bOgjoohoCAkiBYQMFAl5yp7UFCQeFY0MACgkQohoCAkiBYQPCBRAAghc8O3Ok +//tLzfgHAaXYaoT+LWWx9LCXtYGfknsY36hBLRWfwPoz7vncE2WAfRCUsN+SKaAW +KDmXty6brzZ4fl7Wba4fxtY6rLKvKcL1uyehWyrcM/iiLW1RawLsdHVg/hbEAd0L +qu+zSRNNcGahOngoFcxZigpflFOsssAJIqTxaMyFRMJqdxU9e6PiAk47pYaUQB9H +h3BRSIbpIEBWeSFIVbvW6Z7J6RAOcCA0EQuFwu9smD7wJXtbv3v3PNN6osBb2Jqf +PP3E1/mCdb4xWjeCZrz45gOTcsB+52j/yHrOQuzZRoEzdLfy5W0ImvgIX76BuIui +uSaSSM9GvL/RLbdjCDV4ysICGIpkZeXq/ylir8RstBL3pFVDtr/Mg40RhKQIKHRk +HiEDxpMVhTrWIPtqNZARLowW6ZRl/hoVVKyqLVxe+9O3bzj79onzb5vdZFQHsAlY +mO5f8Ru9M7g0/gV/PVdh63ih4Y2EwvonSGRyHhWijdp4KDrJmc3OeCc6jZ/6oOJ7 +6DEl2BfHoKYLOqiLaD3xGVpmMNpaqgDFByybi0lTBnlTt2CNxeJy3vHE1fGHfOhE +KN8S0d9k/7pH1w8+e/VKmRomDTDb7KfUT5Y2dbz6b4Pzap7xec175waa2l7eOGP3 +MGmzVKE9jgxMeBctdNxdF/M6ECDJxw5D/z65Ag0EW8bIBAEQAL9klJwDB7GT9gwv +OATDRfd2NEL0DV2YoD7xdAqHec9XTk3zaCt3WlPomaEWAxmMkl/77liTI1x91UU6 +n2b2vRry1D9sbw5k508oyjs6DFD5JReLylFu4C+/Zq6tgskWvAV8eeuZfo5QRV+M +/CB+ys41/zazSwTYcdUgZ/61hagXh1JReL93e8+XrJMokfcuMWISFtWwtF8fJmr5 +9rzRWz1OJZ6/k0K+J8VEXDUF7OnXTXOv4tH1flh14YI/EuEWglk4l6rkpwMGHIVG +13D3oIsGWJgtOYaSOuMQaLpjYUKh/Er5GYt8JiLDg+iIrEHwrsGIVL+uLFeDHbVK +tkDx97SJe8mjpUoXP2riJoUTOaXgYlqUioZu3U93FUTXVHK7+W9vBWO+Ck9WFv2B +0HnVSWvvjlTBog/GRUAFIL50gJwaRm09fYLUCREy3Ik802DkwKY0jBTk+USHHeIZ +EMbdlcsVuBcYnXoxviOV856J2E5XujLJVrCyrdQrNVeXzXNSGckNo5CFD3FpZ8jY +QkAfYKO3dSCkdai2cV8TC7W6sT5gdKWIkRjOVzk8dA0eR8wfPBVMSPEdZhIfhJxn +B93pB4sYxPT+1lxuyafbxnWlcoHufb5UHgWmlpZrmZIUw6fmaOMqKC9HlI6rZ/ID +Se7H82Yp0ruzFui/BF24Gh1scg6NABEBAAGJAjwEGAEIACYCGyAWIQS+YnNzjmFt +bRs6COiiGgICSIFhAwUCXnKntQUJB4VifgAKCRCiGgICSIFhAyskD/4mlxSNsQBq +lrGQG9PUy3q0OB+QZfxFbmkHoKEc8IIQGTnb0fW8X+Q4XY0cC1vr1pzetCnKxBXX +vNWT718O6f1jGsBOxAfjOBgRi+ihnPa2OhQytvpGWPAOCbwo41QthQ7O2SnxoD8Y +sciM5Fa6l5lt1YXVzgntrF78qwfUBCa1htU37aImsw0LL+MZCL7Bx5O8/eg/fxbk +Wsz7r4Ue7NXWk2hnuaNIIhp+Xxfb21oExg1oTm0ZEPMUVSGX9DYtdRgry6khcLn+ +kjx6LyXpKuRWDmRDm6hH1ri77FWtFhF4TOHhr1KX+omvfdWRvJuYWgzm83hylE9e +eFELKs1O9F54gx2kOFXhxBhv+ApcDyUihUg7mHKSNykIiwKfOAz5VeLJiev2YJqE +qGlW8HPerXUeK+SDfIAbp2n1UPhQvx9zjfvNQD1KEEJGRmnix78lFiakc/XIBSc3 +5djlREhX8FdLGMs9WClxeGE75r5H1CdM+ZtpQ3DOHLZuLa5khU/MqlmTHA9NT07f +gzt9O2RUCngBXhf/qde0OjliQ8SLCredHlS5O2W6uI3CCAKAh87mPMePqifbTQFF +x+/cK1rafilLsUusR7JNuRILQlt5JzhJEcSOVsz+SBudEkd84CZdTao0W6xBwweh +vhM+q4339VYMt/RYM0PIraejWttGAtb6bQ== +=7ozV -----END PGP PUBLIC KEY BLOCK----- -- 2.20.1